Error simulación

Error simulación

de Julieta Umpierrez Toledo -
Número de respuestas: 4

Hola,

En la simulación de la parte a del laboratorio donde deberian aparecer 0 me aparece este patron de cruces. Como puedo solucionarlo?

Gracias!

 

Adjunto Captura de pantalla 2020-09-20 180258.png
En respuesta a Julieta Umpierrez Toledo

Re: Error simulación

de Manuel Urquiola -
Buenas,

En primer lugar se me ocurre que podrían haber quedado sin asignar a '0' o '1' algunas de las entradas en esos intervalos de tiempo, haciendo que en la simulación no se pueda predecir la salida.

Otra situación que se podría estar dando, es que estén realizando una "Timing Simulation" que simula retardos en las compuertas, en lugar de "Functional Simulation", y que estemos viendo el comienzo de la simulación, allí algunas señales se encontrarían aún en un valor desconocido, pasando lo que comentaba arriba.

Es posible que se encuentren en alguno de estos casos? De no ser así pregunten sin problema!

Saludos, 
Manuel

En respuesta a Manuel Urquiola

Re: Error simulación

de Julieta Umpierrez Toledo -

Hola,

Me fije en esas dos cosas y no encontré ningún valor que no estuviese definido. Adjunto captura de toda la simulación.

Gracias!


Adjunto 1.png
En respuesta a Julieta Umpierrez Toledo

Re: Error simulación

de Julio Perez -

Parece estar faltando asignar un valor a la entrada H1, que debería estar conectada a la llave SW[9].

Te debería estar dando un warning diciendo que el valor de esa entrada es desconocido.


julio