/* Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Full Version */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); P ActionCode(Cfg) Device PartName(EP3C16F484) Path("") File("2014_02-p1_lab_intup.sof") MfrSpec(OpMask(1)); ChainEnd; AlteraBegin; ChainType(JTAG); AlteraEnd;