Model { Name "simseps1bloques" Version 8.9 SavedCharacterEncoding "windows-1252" GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.45" NumModelReferences 0 NumTestPointedSignals 0 NumProvidedFunctions 0 NumRequiredFunctions 0 NumResetEvents 0 HasInitializeEvent 0 HasTerminateEvent 0 IsExportFunctionModel 0 NumParameterArguments 0 OrderedModelArguments 1 } LogicAnalyzerGraphicalSettings "" LogicAnalyzerPlugin "on" LogicAnalyzerSignalOrdering "" DiagnosticSuppressor "on" SuppressorTable "22 serialization::archive 11 0 6 0 0 0 8 0" SLCCPlugin "on" ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" DataTypeOverrideAppliesTo "AllNumericTypes" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" FPTRunName "Run 1" MaxMDLFileLineLength 120 LastSavedArchitecture "win64" Object { $PropName "BdWindowsInfo" $ObjectID 1 $ClassName "Simulink.BDWindowsInfo" Object { $PropName "WindowsInfo" $ObjectID 2 $ClassName "Simulink.WindowInfo" IsActive [1] Location [535.0, 11.0, 654.0, 528.0] Object { $PropName "ModelBrowserInfo" $ObjectID 3 $ClassName "Simulink.ModelBrowserInfo" Visible [0] DockPosition "Left" Width [50] Height [50] Filter [9] } Object { $PropName "ExplorerBarInfo" $ObjectID 4 $ClassName "Simulink.ExplorerBarInfo" Visible [1] } Object { $PropName "EditorsInfo" $ObjectID 5 $ClassName "Simulink.EditorInfo" IsActive [1] ViewObjType "SimulinkTopLevel" LoadSaveID "0" Extents [755.0, 445.0] ZoomFactor [1.0] Offset [0.0, 0.0] } Object { $PropName "DockComponentsInfo" $ObjectID 6 $ClassName "Simulink.DockComponentInfo" Type "GLUE2:PropertyInspector" ID "Property Inspector" Visible [0] CreateCallback "" UserData "" Floating [0] DockPosition "Right" Width [512] Height [384] } WindowState "AAAA/wAAAAD9AAAAAgAAAAAAAAC9AAAB+PwCAAAAA/sAAAAWAEQAbwBjAGsAVwBpAGQAZwBlAHQAMwEAAAAxAAAB+AAAA" "AAAAAAA+wAAABYARABvAGMAawBXAGkAZABnAGUAdAA0AAAAAAD/////AAAAAAAAAAD7AAAAUgBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0Ac" "ABvAG4AZQBuAHQALwBHAEwAVQBFADIAIAB0AHIAZQBlACAAYwBvAG0AcABvAG4AZQBuAHQAAAAAAP////8AAAB4AP///wAAAAEAAAAAAAAAAPwCA" "AAAAfsAAABUAEcATABVAEUAMgA6AFAAcgBvAHAAZQByAHQAeQBJAG4AcwBwAGUAYwB0AG8AcgAvAFAAcgBvAHAAZQByAHQAeQAgAEkAbgBzAHAAZ" "QBjAHQAbwByAAAAAAD/////AAAANAD///8AAAMgAAACAgAAAAEAAAACAAAAAQAAAAL8AAAAAQAAAAIAAAAP/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/" "////wEAAACR/////wAAAAAAAAAA/////wEAAAEE/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAGV/////wAAAAAAAAAA/" "////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA/////wEAAAKw/////wAAAAAAAAAA/" "////wEAAALo/////wAAAAAAAAAA/////wAAAAAA/////wAAAAAAAAAA" } } HideAutomaticNames on Created "Tue Apr 29 17:59:10 2003" Creator "paula" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "Hp" ModifiedDateFormat "%" LastModifiedDate "Thu Apr 19 16:10:34 2018" RTWModifiedTimeStamp 446054503 ModelVersionFormat "1.%" ConfigurationManager "none" SampleTimeColors off SampleTimeAnnotations off LibraryLinkDisplay "none" WideLines off ShowLineDimensions off ShowPortDataTypes off PortDataTypeDisplayFormat "AliasTypeOnly" ShowEditTimeErrors on ShowEditTimeWarnings on ShowEditTimeAdvisorChecks off ShowPortUnits off ShowDesignRanges off ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowSignalResolutionIcons on ShowViewerIcons on SortedOrder off VariantCondition off ExecutionContextIcon off ShowLinearizationAnnotations on ShowVisualizeInsertedRTB on ShowMarkup on BlockNameDataTip off BlockParametersDataTip on BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off FunctionConnectors off BrowserLookUnderMasks off SimulationMode "normal" VisualizeLoggedSignalsWhenLoggingToFile off PauseTimes "5" NumberOfSteps 1 SnapshotBufferSize 10 SnapshotInterval 10 NumberOfLastSnapshots 0 LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off Object { $PropName "DataLoggingOverride" $ObjectID 7 $ClassName "Simulink.SimulationData.ModelLoggingInfo" model_ "simseps1bloques" Array { Type "Cell" Dimension 1 Cell "simseps1bloques" PropName "logAsSpecifiedByModels_" } Array { Type "Cell" Dimension 1 Cell "" PropName "logAsSpecifiedByModelsSSIDs_" } } ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "oneshot" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect off ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off OrderedModelArguments on Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 8 Version "1.17.0" DisabledProps [] Description "" Array { Type "Handle" Dimension 9 Simulink.SolverCC { $ObjectID 9 Version "1.17.0" DisabledProps [] Description "" StartTime "0.0" StopTime "10" AbsTol "auto" FixedStep "0.001" InitialStep ".01" MaxNumMinSteps "-1" MaxOrder 5 ZcThreshold "auto" ConsecutiveZCsStepRelTol "10*128*eps" MaxConsecutiveZCs "1000" ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "0.01" MinStep "auto" MaxConsecutiveMinStep "1" RelTol "1e-3" EnableMultiTasking on EnableConcurrentExecution off ConcurrentTasks off Solver "FixedStepAuto" SolverName "FixedStepAuto" SolverJacobianMethodControl "auto" ShapePreserveControl "DisableAll" ZeroCrossControl "UseLocalSettings" ZeroCrossAlgorithm "Nonadaptive" AlgebraicLoopSolver "TrustRegion" SolverInfoToggleStatus on IsAutoAppliedInSIP off SolverResetMethod "Fast" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" InsertRTBMode "Whenever possible" SampleTimeProperty [] } Simulink.DataIOCC { $ObjectID 10 Version "1.17.0" DisabledProps [] Description "" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints off MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveCompleteFinalSimState off SaveFormat "Array" SignalLoggingSaveFormat "ModelDataLogs" SaveOutput on SaveState off SignalLogging on DSMLogging on InspectSignalLogs off VisualizeSimOutput on StreamToWorkspace off StreamVariableName "streamout" SaveTime on ReturnWorkspaceOutputs off StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "sigsOut" DSMLoggingName "dsmout" OutputOption "RefineOutputTimes" OutputTimes "[]" ReturnWorkspaceOutputsName "out" Refine "1" LoggingToFile off DatasetSignalFormat "timeseries" LoggingFileName "out.mat" LoggingIntervals "[-inf, inf]" } Simulink.OptimizationCC { $ObjectID 11 Version "1.17.0" Array { Type "Cell" Dimension 8 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "NoFixptDivByZeroProtection" Cell "OptimizeModelRefInitCode" Cell "BooleansAsBitfields" Cell "PassReuseOutputArgsAs" Cell "PassReuseOutputArgsThreshold" Cell "UseSpecifiedMinMax" PropName "DisabledProps" } Description "" BlockReduction on BooleanDataType off ConditionallyExecuteInputs on DefaultParameterBehavior "Tunable" UseDivisionForNetSlopeComputation "off" UseFloatMulNetSlope off DefaultUnderspecifiedDataType "double" UseSpecifiedMinMax off InlineInvariantSignals off OptimizeBlockIOStorage on BufferReuse on EnhancedBackFolding off CachingGlobalReferences off GlobalBufferReuse on StrengthReduction off AdvancedOptControl "" ExpressionFolding on BooleansAsBitfields off BitfieldContainerType "uint_T" EnableMemcpy on MemcpyThreshold 64 PassReuseOutputArgsAs "Structure reference" PassReuseOutputArgsThreshold 12 ExpressionDepthLimit 2147483647 LocalBlockOutputs on RollThreshold 5 StateBitsets off DataBitsets off ActiveStateOutputEnumStorageType "Native Integer" ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off EfficientFloat2IntCast off EfficientMapNaN2IntZero on LifeSpan "inf" MaxStackSize "Inherit from target" BufferReusableBoundary on SimCompilerOptimization "off" AccelVerboseBuild off OptimizeBlockOrder "off" OptimizeDataStoreBuffers on BusAssignmentInplaceUpdate on } Simulink.DebuggingCC { $ObjectID 12 Version "1.17.0" Array { Type "Cell" Dimension 1 Cell "UseOnlyExistingSharedCode" PropName "DisabledProps" } Description "" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" SignalInfNanChecking "none" SignalRangeChecking "none" ReadBeforeWriteMsg "UseLocalSettings" WriteAfterWriteMsg "UseLocalSettings" WriteAfterReadMsg "UseLocalSettings" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" SaveWithDisabledLinksMsg "warning" SaveWithParameterizedLinksMsg "none" CheckSSInitialOutputMsg on UnderspecifiedInitializationDetection "Classic" MergeDetectMultiDrivingBlocksExec "none" CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" TimeAdjustmentMsg "none" MaxConsecutiveZCsMsg "error" MaskedZcDiagnostic "warning" IgnoredZcDiagnostic "warning" SolverPrmCheckMsg "none" InheritedTsInSrcMsg "warning" MultiTaskDSMMsg "warning" MultiTaskCondExecSysMsg "none" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" SigSpecEnsureSampleTimeMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterUnderflowMsg "none" ParameterPrecisionLossMsg "warning" ParameterTunabilityLossMsg "warning" FixptConstUnderflowMsg "none" FixptConstOverflowMsg "none" FixptConstPrecisionLossMsg "none" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "warning" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" UseOnlyExistingSharedCode "error" SFcnCompatibilityMsg "none" FrameProcessingCompatibilityMsg "error" UniqueDataStoreMsg "none" BusObjectLabelMismatch "warning" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" AllowSymbolicDim on RowMajorDimensionSupport off ModelReferenceIOMsg "none" ModelReferenceMultiInstanceNormalModeStructChecksumCheck "error" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" ModelReferenceExtraNoncontSigs "error" StateNameClashWarn "warning" SimStateInterfaceChecksumMismatchMsg "warning" SimStateOlderReleaseMsg "error" InitInArrayFormatMsg "warning" StrictBusMsg "ErrorLevel1" BusNameAdapt "WarnAndRepair" NonBusSignalsTreatedAsBus "none" SymbolicDimMinMaxWarning "warning" LossOfSymbolicDimsSimulationWarning "warning" LossOfSymbolicDimsCodeGenerationWarning "error" SymbolicDimsDataTypeCodeGenerationDiagnostic "error" BlockIODiagnostic "none" SFUnusedDataAndEventsDiag "warning" SFUnexpectedBacktrackingDiag "warning" SFInvalidInputDataAccessInChartInitDiag "warning" SFNoUnconditionalDefaultTransitionDiag "warning" SFTransitionOutsideNaturalParentDiag "warning" SFUnreachableExecutionPathDiag "warning" SFUndirectedBroadcastEventsDiag "warning" SFTransitionActionBeforeConditionDiag "warning" SFOutputUsedAsStateInMooreChartDiag "error" SFTemporalDelaySmallerThanSampleTimeDiag "warning" SFSelfTransitionDiag "warning" SFExecutionAtInitializationDiag "none" SFMachineParentedDataDiag "warning" IntegerSaturationMsg "warning" AllowedUnitSystems "all" UnitsInconsistencyMsg "warning" AllowAutomaticUnitConversions on UnitDatabase "" } Simulink.HardwareCC { $ObjectID 13 Version "1.17.0" DisabledProps [] Description "" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdBitPerLongLong 64 ProdBitPerFloat 32 ProdBitPerDouble 64 ProdBitPerPointer 32 ProdBitPerSizeT 32 ProdBitPerPtrDiffT 32 ProdLargestAtomicInteger "Char" ProdLargestAtomicFloat "None" ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdLongLongMode off ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetBitPerLongLong 64 TargetBitPerFloat 32 TargetBitPerDouble 64 TargetBitPerPointer 32 TargetBitPerSizeT 32 TargetBitPerPtrDiffT 32 TargetLargestAtomicInteger "Char" TargetLargestAtomicFloat "None" TargetShiftRightIntArith on TargetLongLongMode off TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown on ProdEqTarget on UseEmbeddedCoderFeatures on UseSimulinkCoderFeatures on } Simulink.ModelReferenceCC { $ObjectID 14 Version "1.17.0" DisabledProps [] Description "" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" EnableRefExpFcnMdlSchedulingChecks on CheckModelReferenceTargetMessage "error" EnableParallelModelReferenceBuilds off ParallelModelReferenceErrorOnInvalidPool on ParallelModelReferenceMATLABWorkerInit "None" ModelReferenceNumInstancesAllowed "Multi" PropagateVarSize "Infer from blocks in model" ModelDependencies "" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off PropagateSignalLabelsOutOfModel off SupportModelReferenceSimTargetCustomCode off } Simulink.SFSimCC { $ObjectID 15 Version "1.17.0" DisabledProps [] Description "" SimCustomSourceCode "" SimCustomHeaderCode "" SimCustomInitializer "" SimCustomTerminator "" SimReservedNameArray [] SimUserSources "" SimUserIncludeDirs "" SimUserLibraries "" SimUserDefines "" SimCustomCompilerFlags "" SimCustomLinkerFlags "" SFSimEcho on SimCtrlC on SimIntegrity on SimUseLocalCustomCode off SimParseCustomCode on SimBuildMode "sf_incremental_build" SimGenImportedTypeDefs off ModelFunctionsGlobalVisibility "on" CompileTimeRecursionLimit 50 EnableRuntimeRecursion on MATLABDynamicMemAlloc on MATLABDynamicMemAllocThreshold 65536 } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 16 Version "1.17.0" Array { Type "Cell" Dimension 13 Cell "IncludeHyperlinkInReport" Cell "GenerateTraceInfo" Cell "GenerateTraceReport" Cell "GenerateTraceReportSl" Cell "GenerateTraceReportSf" Cell "GenerateTraceReportEml" Cell "PortableWordSizes" Cell "GenerateWebview" Cell "GenerateCodeMetricsReport" Cell "GenerateCodeReplacementReport" Cell "GenerateMissedCodeReplacementReport" Cell "GenerateErtSFunction" Cell "CreateSILPILBlock" PropName "DisabledProps" } SystemTargetFile "grt.tlc" HardwareBoard "None" TLCOptions "" GenCodeOnly off MakeCommand "make_rtw" GenerateMakefile on PackageGeneratedCodeAndArtifacts off PackageName "" TemplateMakefile "grt_default_tmf" PostCodeGenCommand "" Description "" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off RTWBuildHooks [] ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off RTWUseLocalCustomCode off RTWUseSimCustomCode off CustomSourceCode "" CustomHeaderCode "" CustomInclude "" CustomSource "" CustomLibrary "" CustomDefine "" CustomLAPACKCallback "" CustomInitializer "" CustomTerminator "" Toolchain "Automatically locate an installed toolchain" BuildConfiguration "Faster Builds" CustomToolchainOptions [] IncludeHyperlinkInReport off LaunchReport off PortableWordSizes off CreateSILPILBlock "None" CodeExecutionProfiling off CodeExecutionProfileVariable "executionProfile" CodeProfilingSaveOptions "SummaryOnly" CodeProfilingInstrumentation off SILDebugging off TargetLang "C" IncludeBusHierarchyInRTWFileBlockHierarchyMap off GenerateTraceInfo off GenerateTraceReport off GenerateTraceReportSl off GenerateTraceReportSf off GenerateTraceReportEml off GenerateWebview off GenerateCodeMetricsReport off GenerateCodeReplacementReport off GenerateMissedCodeReplacementReport off RTWCompilerOptimization "off" ObjectivePriorities [] RTWCustomCompilerOptimizations "" CheckMdlBeforeBuild "Off" SharedConstantsCachingThreshold 1024 Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 17 Version "1.17.0" Array { Type "Cell" Dimension 27 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" Cell "CustomSymbolStrGlobalVar" Cell "CustomSymbolStrType" Cell "CustomSymbolStrField" Cell "CustomSymbolStrFcn" Cell "CustomSymbolStrBlkIO" Cell "CustomSymbolStrTmpVar" Cell "CustomSymbolStrMacro" Cell "IgnoreTestpoints" Cell "InsertPolySpaceComments" Cell "MATLABFcnDesc" Cell "InternalIdentifier" Cell "CustomSymbolStrModelFcn" Cell "CustomSymbolStrFcnArg" Cell "CustomSymbolStrUtil" Cell "CustomSymbolStrEmxType" Cell "CustomSymbolStrEmxFcn" Cell "CustomUserTokenString" Cell "ReqsInCode" PropName "DisabledProps" } Description "" Comment "" ForceParamTrailComments off GenerateComments on CommentStyle "Auto" IgnoreCustomStorageClasses on IgnoreTestpoints off IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off OperatorAnnotations off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off MATLABFcnDesc off IncDataTypeInIds off MangleLength 1 CustomSymbolStrGlobalVar "$R$N$M" CustomSymbolStrType "$N$R$M_T" CustomSymbolStrField "$N$M" CustomSymbolStrFcn "$R$N$M$F" CustomSymbolStrModelFcn "$R$N" CustomSymbolStrFcnArg "rt$I$N$M" CustomSymbolStrBlkIO "rtb_$N$M" CustomSymbolStrTmpVar "$N$M" CustomSymbolStrMacro "$R$N$M" CustomSymbolStrUtil "$N$C" CustomSymbolStrEmxType "emxArray_$M$N" CustomSymbolStrEmxFcn "emx$M$N" CustomUserTokenString "" CustomCommentsFcn "" DefineNamingRule "None" DefineNamingFcn "" ParamNamingRule "None" ParamNamingFcn "" SignalNamingRule "None" SignalNamingFcn "" InsertBlockDesc off InsertPolySpaceComments off SimulinkBlockComments on MATLABSourceComments off EnableCustomComments off InternalIdentifierFile "" InternalIdentifier "Shortened" InlinedPrmAccess "Literals" ReqsInCode off UseSimReservedNames off ReservedNameArray [] } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 18 Version "1.17.0" Array { Type "Cell" Dimension 16 Cell "IncludeMdlTerminateFcn" Cell "RemoveDisableFunc" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "GenerateTestInterfaces" Cell "ModelStepFunctionPrototypeControlCompliant" Cell "RemoveResetFunc" Cell "PurelyIntegerCode" Cell "PortableWordSizes" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" Cell "ExistingSharedCode" Cell "GenerateAllocFcn" PropName "DisabledProps" } Description "" TargetFcnLib "ansi_tfl_tmw.mat" TargetLibSuffix "" TargetPreCompLibLocation "" GenFloatMathFcnCalls "NOT IN USE" TargetLangStandard "C89/C90 (ANSI)" CodeReplacementLibrary "None" UtilityFuncGeneration "Auto" MultiwordTypeDef "System defined" MultiwordLength 2048 GenerateFullHeader on InferredTypesCompatibility off ExistingSharedCode "" GenerateSampleERTMain off GenerateTestInterfaces off ModelReferenceCompliant on ParMdlRefBuildCompliant on CompOptLevelCompliant on ConcurrentExecutionCompliant on IncludeMdlTerminateFcn on GeneratePreprocessorConditionals "Disable all" CombineOutputUpdateFcns off CombineSignalStateStructs off SuppressErrorStatus off ERTFirstTimeCompliant off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off CodeInterfacePackaging "Nonreusable function" SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on RemoveDisableFunc off RemoveResetFunc off SupportVariableSizeSignals off ParenthesesLevel "Nominal" CastingMode "Nominal" MATLABClassNameForMDSCustomization "Simulink.SoftwareTarget.GRTCustomization" ModelStepFunctionPrototypeControlCompliant off CPPClassGenCompliant on AutosarCompliant off MDXCompliant off GRTInterface on GenerateAllocFcn off UseToolchainInfoCompliant on GenerateSharedConstants on CoderGroups [] AccessMethods [] LookupTableObjectStructAxisOrder "1,2,3,4,..." UseMalloc off ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" ExtModeMexArgs "" ExtModeIntrfLevel "Level1" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off RTWCAPIRootIO off GenerateASAP2 off MultiInstanceErrorCode "Error" } PropName "Components" } } SlCovCC.ConfigComp { $ObjectID 19 Version "1.17.0" DisabledProps [] Description "Simulink Coverage Configuration Component" Name "Simulink Coverage" CovEnable off CovScope "EntireSystem" CovIncludeTopModel on RecordCoverage off CovPath "/" CovSaveName "covdata" CovCompData "" CovMetricSettings "dw" CovFilter "" CovHTMLOptions "" CovNameIncrementing off CovHtmlReporting on CovForceBlockReductionOff on CovEnableCumulative on CovSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovSaveOutputData on CovOutputDir "slcov_output/$ModelName$" CovDataFileName "$ModelName$_cvdata" CovShowResultsExplorer on CovReportOnPause on CovModelRefEnable "off" CovModelRefExcluded "" CovExternalEMLEnable off CovSFcnEnable on CovBoundaryAbsTol 1e-05 CovBoundaryRelTol 0.01 CovUseTimeInterval off CovStartTime 0 CovStopTime 0 CovMcdcMode "Masking" } PropName "Components" } Name "Configuration" ExtraOptions "" CurrentDlgPage "Solver" ConfigPrmDlgPosition [ 230, 77, 1136, 628 ] } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 8 } Object { $PropName "DataTransfer" $ObjectID 20 $ClassName "Simulink.GlobalDataTransfer" DefaultTransitionBetweenSyncTasks "Ensure deterministic transfer (maximum delay)" DefaultTransitionBetweenAsyncTasks "Ensure data integrity only" DefaultTransitionBetweenContTasks "Ensure deterministic transfer (minimum delay)" DefaultExtrapolationMethodBetweenContTasks "None" AutoInsertRateTranBlk [0] } ExplicitPartitioning off BlockDefaults { ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" ShowName on HideAutomaticName on BlockRotation 0 BlockMirror off } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" UseDisplayTextAsClickCallback off } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } MaskDefaults { SelfModifiable "off" IconFrame "on" IconOpaque "opaque" RunInitForIconRedraw "analyze" IconRotate "none" PortRotate "default" IconUnits "autoscale" } MaskParameterDefaults { Evaluate "on" Tunable "on" NeverSave "off" Internal "off" ReadOnly "off" Enabled "on" Visible "on" ToolTip "on" } BlockParameterDefaults { Block { BlockType Clock DisplayTime off Decimation "10" } Block { BlockType Constant Value "1" VectorParams1D on SamplingMode "Sample based" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Inherit from 'Constant value'" LockScale off SampleTime "inf" FramePeriod "inf" PreserveConstantTs off } Block { BlockType DotProduct InputSameDT on OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow off SampleTime "-1" } Block { BlockType Gain Gain "1" Multiplication "Element-wise(K.*u)" ParamMin "[]" ParamMax "[]" ParamDataTypeStr "Inherit: Same as input" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Integrator ExternalReset "none" InitialConditionSource "internal" InitialCondition "0" LimitOutput off UpperSaturationLimit "inf" LowerSaturationLimit "-inf" WrapState off WrappedStateUpperValue "pi" WrappedStateLowerValue "-pi" ShowSaturationPort off ShowStatePort off AbsoluteTolerance "auto" IgnoreLimit off ZeroCross on ContinuousStateAttributes "''" } Block { BlockType MATLABFcn MATLABFcn "sin" OutputDimensions "-1" OutputSignalType "auto" Output1D on SampleTime "-1" } Block { BlockType Scope DefaultConfigurationName "Simulink.scopes.TimeScopeBlockCfg" Floating off } Block { BlockType Sum IconShape "rectangular" Inputs "++" CollapseMode "All dimensions" CollapseDim "1" InputSameDT on AccumDataTypeStr "Inherit: Inherit via internal rule" OutMin "[]" OutMax "[]" OutDataTypeStr "Inherit: Same as first input" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType ToFile Filename "untitled.mat" MatrixName "ans" SaveFormat "Array" Decimation "1" SampleTime "-1" } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SaveFormat "Array" Save2DSignal "Inherit from input (this choice will be removed - see release notes)" FixptAsFi off NumInputs "1" SampleTime "0" } } System { Name "simseps1bloques" Location [535, 11, 1189, 539] Open on PortBlocksUseCompactNotation off ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" TiledPaperMargins [0.500000, 0.500000, 0.500000, 0.500000] TiledPageScale 1 ShowPageBoundaries off ZoomFactor "100" ReportName "simulink-default.rpt" SIDHighWatermark "28" Block { BlockType Gain Name "+/-1" SID "19" Position [140, 65, 170, 95] ZOrder -1 } Block { BlockType DotProduct Name "-D.w" SID "1" Position [118, 135, 142, 145] ZOrder -2 BlockRotation 270 BlockMirror on NamePlacement "alternate" OutDataTypeStr "Inherit: Inherit via internal rule" } Block { BlockType Gain Name "-Pe" SID "2" Position [145, 258, 185, 302] ZOrder -3 BlockMirror on Gain "-Pe1" } Block { BlockType Clock Name "Clock" SID "3" Position [305, 305, 325, 325] ZOrder -4 } Block { BlockType Constant Name "Constant" SID "4" Position [75, 198, 95, 222] ZOrder -5 BlockMirror on NamePlacement "alternate" Value "Pm" } Block { BlockType Constant Name "Constant=D" SID "5" Position [160, 113, 225, 127] ZOrder -6 BlockMirror on Value "-Dcrit" } Block { BlockType Integrator Name "Int" SID "6" Ports [1, 1] Position [55, 65, 85, 95] ZOrder -7 InitialCondition "w0" } Block { BlockType Integrator Name "Int1" SID "7" Ports [1, 1] Position [220, 65, 250, 95] ZOrder -8 InitialCondition "th0" } Block { BlockType Gain Name "K" SID "8" Position [20, 110, 50, 140] ZOrder -9 BlockRotation 270 Gain "1/M" } Block { BlockType Sum Name "Sum" SID "9" Ports [3, 1] Position [20, 195, 50, 225] ZOrder -10 BlockRotation 270 ShowName off IconShape "round" Inputs "+++" } Block { BlockType ToWorkspace Name "To Workspace" SID "10" Ports [1] Position [365, 19, 420, 31] ZOrder -11 VariableName "w" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace " SID "11" Ports [1] Position [345, 309, 400, 321] ZOrder -12 VariableName "tiempo" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType ToWorkspace Name "To Workspace1" SID "12" Ports [1] Position [450, 299, 505, 311] ZOrder -13 VariableName "th" MaxDataPoints "inf" SampleTime "-1" } Block { BlockType Reference Name "XY Graph" SID "13" Ports [2] Position [530, 106, 560, 139] ZOrder -14 LibraryVersion "1.391" SourceBlock "simulink/Sinks/XY Graph" SourceType "XY scope." SourceProductName "Simulink" SourceProductBaseCode "SL" ContentPreviewEnabled off xmin "-10" xmax "9" ymin "-40" ymax "40" st "-1" } Block { BlockType ToFile Name "omega" SID "14" Ports [1] Position [360, 70, 420, 100] ZOrder -15 Filename "omega.mat" MatrixName "omega" } Block { BlockType Scope Name "scope: th" SID "15" Ports [1] Position [450, 184, 480, 216] ZOrder -17 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingSaveFormat','StructureWithTime','DataLoggingDecimation','1','DataLoggin" "gDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'SerializedDisplays',{struct('MinYLimReal" "','-5.0184','MaxYLimReal','10.68948','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','10.68948','LegendVisib" "ility','Off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.6862745098039" "22 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.62352941176470" "6 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.71764705882352" "9 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%','L" "inePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNames',{{'Int1'}},'ShowContent',true,'" "Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-5.0184','MaxYLimReal','10.68948','YLabelReal',''" ",'MinYLimMag','0.00000','MaxYLimMag','10.68948','LegendVisibility','Off','XGrid',true,'YGrid',true,'PlotMagPhase" "',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrder'" ",[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156862" "745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0.074" "5098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames',{" "{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1)),extmgr.Configuration('Tools','Plot Naviga" "tion',true),extmgr.Configuration('Tools','Measurements',true,'Version','2017a')),'Version','2017a','Location',[3" "6.2 429.4 616.2 776.6],'VisibleAtModelOpen','on')" NumInputPorts "1" } Block { BlockType Scope Name "scope: w" SID "16" Ports [1] Position [460, 39, 490, 71] ZOrder -18 ScopeSpecificationString "Simulink.scopes.TimeScopeBlockCfg('CurrentConfiguration', extmgr.ConfigurationSet(extm" "gr.Configuration('Core','General UI',true),extmgr.Configuration('Core','Source UI',true),extmgr.Configuration('S" "ources','WiredSimulink',true,'DataLoggingSaveFormat','StructureWithTime','DataLoggingDecimation','1','DataLoggin" "gDecimateData',true),extmgr.Configuration('Visuals','Time Domain',true,'SerializedDisplays',{struct('MinYLimReal" "','-23.34637','MaxYLimReal','35.08146','YLabelReal','','MinYLimMag','0.00000','MaxYLimMag','35.08146','LegendVis" "ibility','Off','XGrid',true,'YGrid',true,'PlotMagPhase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.68627450980" "3922 0.686274509803922 0.686274509803922],'ColorOrder',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764" "706 1;1 0.411764705882353 0.16078431372549;0.392156862745098 0.831372549019608 0.0745098039215686;0.717647058823" "529 0.274509803921569 1;0.0588235294117647 1 1;1 0.0745098039215686 0.650980392156863],'Title','%'," "'LinePropertiesCache',{{}},'UserDefinedChannelNames',{{}},'NumLines',1,'LineNames',{{'Int'}},'ShowContent',true," "'Placement',1)},'DisplayPropertyDefaults',struct('MinYLimReal','-23.34637','MaxYLimReal','35.08146','YLabelReal'" ",'','MinYLimMag','0.00000','MaxYLimMag','35.08146','LegendVisibility','Off','XGrid',true,'YGrid',true,'PlotMagPh" "ase',false,'AxesColor',[0 0 0],'AxesTickColor',[0.686274509803922 0.686274509803922 0.686274509803922],'ColorOrd" "er',[1 1 0.0666666666666667;0.0745098039215686 0.623529411764706 1;1 0.411764705882353 0.16078431372549;0.392156" "862745098 0.831372549019608 0.0745098039215686;0.717647058823529 0.274509803921569 1;0.0588235294117647 1 1;1 0." "0745098039215686 0.650980392156863],'Title','%','LinePropertiesCache',{{}},'UserDefinedChannelNames" "',{{}},'NumLines',0,'LineNames',{{[]}},'ShowContent',true,'Placement',1)),extmgr.Configuration('Tools','Plot Nav" "igation',true),extmgr.Configuration('Tools','Measurements',true,'Version','2017a')),'Version','2017a','Location'" ",[75.4 95 582.6 331],'VisibleAtModelOpen','on')" NumInputPorts "1" } Block { BlockType MATLABFcn Name "sen(t)" SID "17" Ports [1, 1] Position [205, 265, 265, 295] ZOrder -19 BlockMirror on } Block { BlockType ToFile Name "theta" SID "18" Ports [1] Position [445, 240, 505, 270] ZOrder -20 Filename "theta" MatrixName "theta" } Line { ZOrder 1 SrcBlock "K" SrcPort 1 Points [0, -25] DstBlock "Int" DstPort 1 } Line { ZOrder 2 SrcBlock "Sum" SrcPort 1 DstBlock "K" DstPort 1 } Line { ZOrder 3 SrcBlock "sen(t)" SrcPort 1 DstBlock "-Pe" DstPort 1 } Line { ZOrder 4 SrcBlock "Int" SrcPort 1 Points [35, 0] Branch { ZOrder 16 DstBlock "+/-1" DstPort 1 } Branch { ZOrder 6 DstBlock "-D.w" DstPort 1 } Branch { ZOrder 7 Points [0, -30; 180, 0] Branch { ZOrder 8 Points [35, 0] Branch { ZOrder 36 Points [0, -25] DstBlock "To Workspace" DstPort 1 } Branch { ZOrder 11 Points [105, 0] DstBlock "scope: w" DstPort 1 } Branch { ZOrder 9 Points [0, 35] DstBlock "omega" DstPort 1 } } Branch { ZOrder 13 Points [0, 80] DstBlock "XY Graph" DstPort 2 } } } Line { ZOrder 17 SrcBlock "Constant" SrcPort 1 DstBlock "Sum" DstPort 3 } Line { ZOrder 18 SrcBlock "Int1" SrcPort 1 Points [20, 0; 0, 45; 60, 0] Branch { ZOrder 22 Labels [1, 0] Points [90, 0] Branch { ZOrder 35 Points [10, 0] Branch { ZOrder 21 DstBlock "scope: th" DstPort 1 } Branch { ZOrder 26 Points [80, 0] DstBlock "XY Graph" DstPort 1 } } Branch { ZOrder 23 Points [0, 130] Branch { ZOrder 24 DstBlock "theta" DstPort 1 } Branch { ZOrder 25 Points [0, 50] DstBlock "To Workspace1" DstPort 1 } } } Branch { ZOrder 20 Points [0, 155] DstBlock "sen(t)" DstPort 1 } } Line { ZOrder 29 SrcBlock "Constant=D" SrcPort 1 DstBlock "-D.w" DstPort 2 } Line { ZOrder 30 SrcBlock "-Pe" SrcPort 1 Points [-135, 0] DstBlock "Sum" DstPort 1 } Line { ZOrder 31 SrcBlock "-D.w" SrcPort 1 Points [0, 90] DstBlock "Sum" DstPort 2 } Line { ZOrder 32 SrcBlock "Clock" SrcPort 1 DstBlock "To Workspace " DstPort 1 } Line { ZOrder 33 SrcBlock "+/-1" SrcPort 1 DstBlock "Int1" DstPort 1 } Annotation { SID "22" Name "Curso de actualización: ESEP \nSistemas dinámicos - 2018" Position [158, 182, 295, 208] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -1 } Annotation { SID "23" Name "wp" Position [28, 60, 42, 74] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -2 } Annotation { SID "24" Name "w=thp" Position [95, 64, 123, 78] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -3 } Annotation { SID "25" Name "th" Position [223, 60, 233, 74] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -4 } Annotation { SID "26" Name "sum" Position [14, 182, 34, 196] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -5 } Annotation { SID "27" Name "th" Position [480, 107, 490, 121] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -6 } Annotation { SID "28" Name "w" Position [483, 138, 493, 152] InternalMargins [0, 0, 0, 0] FixedHeight off FixedWidth off ZOrder -7 } } }